JAIST Repository >

著者:  "IWAGAKI, Tsuyoshi"

「一覧: 著者」画面に戻る
タイトル順ソート 日付順ソート

8 著者名表示.

発行日タイトル 著者
1-Dec-2003 不連続再収斂順序回路の遅延故障に対するテスト生成法岩垣, 剛; 大竹, 哲史; 藤原, 秀雄; IWAGAKI, Tsuyoshi; OHTAKE, Satoshi; FUJIWARA, Hideo
1-Dec-2004 A Design Scheme for Delay Testing of Controllers Using State Transition InformationIWAGAKI, Tsuyoshi; OHTAKE, Satoshi; FUJIWARA, Hideo
Dec-2005 Efficient Constraint Extraction for Template-Based Processor Self-Test GenerationKambe, Kazuko; Iwagaki, Tsuyoshi; Inoue, Michiko; Fujiwara, Hideo
1-Jun-2006 A Low Power Deterministic Test Using Scan Chain Disable TechniqueYOU, Zhiqiang; IWAGAKI, Tsuyoshi; INOUE, Michiko; FUJIWARA, Hideo
1-Apr-2008 Novel Register Sharing in Datapath for Structural Robustness against Delay VariationINOUE, Keisuke; KANEKO, Mineo; IWAGAKI, Tsuyoshi
Aug-2008 Minimizing Minimum Delay Compensations for Timing Variation-Aware Datapath SynthesisInoue, Keisuke; Kaneko, Mineo; Iwagaki, Tsuyoshi
Oct-2008 Safe clocking register assignment in datapath synthesisInoue, Keisuke; Kaneko, Mineo; Iwagaki, Tsuyoshi
Mar-2009 On the derivation of a minimum test set in high quality transition testingIwagaki, Tsuyoshi; Kaneko, Mineo

 


お問い合わせ先 : 北陸先端科学技術大学院大学 研究推進課図書館情報係